当前位置: 首页> 学位论文 >详情
原文传递 环形网在计算机联锁控制系统中的应用
论文题名: 环形网在计算机联锁控制系统中的应用
关键词: 计算机联锁系统;数据通信;环形网;通信协议;现场可编程门阵列
摘要: 根据当前工业控制网的发展趋势和计算机联锁系统中通信的特点,以及现有计算机联锁系统中各种通信手段的特点,为了使计算机联锁系统能够快速、实时、可靠地进行数据传输,提出了环形网的概念、通信原理和设计方法。根据环形网的通信原理自己制定了通信协议。以Xilinx的FPGA芯片为平台遵循环形网的通信原理及通信协议来设计环形网的底层通信电路并调试、仿真。针对计算机联锁系统中对数据传输的实时性和可靠性的要求,对在环形网通信中的数据通信的可靠性加以理论验证,根据仿真波形进一步验证在环形网通信中的数据传输实时性并证明环形网通信是可以实现的。
作者: 肖计文
专业: 交通信息工程及控制
导师: 单冬
授予学位: 硕士
授予学位单位: 北京交通大学
学位年度: 2006
正文语种: 中文
检索历史
应用推荐